Open Source Python based Hardware Verification Tool

dc.contributor.advisorAslan, Semih
dc.contributor.authorAich, Debasmita
dc.contributor.committeeMemberStapleton, William A.
dc.contributor.committeeMemberValles, Damian
dc.date.accessioned2021-08-12T13:50:53Z
dc.date.available2021-08-12T13:50:53Z
dc.date.issued2021-08
dc.description.abstract<p>Today a need for more efficient and time-effective hardware/chip verification has become a necessity due to the increasing size and complexity of several electronic devices. Everyone wants to develop new technology, and for this urge, every day, something new is planned and designed in the Silicon Industry. Due to the rapid growth of technology and competition in between the industry, more and more complex and sophisticated electronic devices are being developed for various areas like medical, entertainment, defense industry, Space centers, etc.</p> <p>The creation of these electronic devices needs complex designs and a high level of verification on time. Many verification methodologies use timing simulations, but unfortunately, it is the most time-consuming for several designs. The main purpose of chip verification is to catch bugs in the designs in the most convenient way, and the earliest the bug is found, the project can be ready for TAPE-OUT. The purpose of this research is to design a Verification tool using Python and cocotb to reduce the need of building multiple testbenches and to reduce some of the hurdles like not able to catch bugs at the earliest stages of design phase which comes in the way of chip Verification. This tool called Varifog catches the bugs at the earliest stages of the design phase without using any testbenches and hence can save a lot of time for Verification Engineers who write multiple basic tests for the designs just to check if the design is generating expected outputs or if any chain/fublet is broken. Verifog is tested with simple as well as complex Verilog design files and is efficiently catching RTL bugs at the earliest design phases.</p>
dc.description.departmentEngineering
dc.formatText
dc.format.extent139 pages
dc.format.medium1 file (.pdf)
dc.identifier.citationAich, D. (2021). <i>Open source python based hardware verification tool</i> (Unpublished thesis). Texas State University, San Marcos, Texas.
dc.identifier.urihttps://hdl.handle.net/10877/14292
dc.language.isoen
dc.subjectVerification
dc.subjectPython
dc.subjectHardware-based tool
dc.subjectDesign
dc.subjectSystem verilog
dc.subjectCocotb
dc.subjectVerilog
dc.titleOpen Source Python based Hardware Verification Tool
dc.typeThesis
thesis.degree.departmentEngineering
thesis.degree.disciplineEngineering
thesis.degree.grantorTexas State University
thesis.degree.levelMasters
thesis.degree.nameMaster of Science

Files

Original bundle

Now showing 1 - 1 of 1
Loading...
Thumbnail Image
Name:
AICH-THESIS-2021.pdf
Size:
1.28 MB
Format:
Adobe Portable Document Format

License bundle

Now showing 1 - 1 of 1
No Thumbnail Available
Name:
LICENSE.txt
Size:
2.97 KB
Format:
Plain Text
Description: